Welcome![Sign In][Sign Up]
Location:
Search - crc ccitt

Search list

[Embeded-SCM Developcrc16

Description: A CCITT-16 CRC calculator. The source contains both the calculated (smaller but slower) version, and the table driven (faster but larger) version.
Platform: | Size: 3732 | Author: buaalzx | Hits:

[Other resourcecrc8

Description: 8051上的CRC8校验 A CCITT-8 CRC calculator. The source contains both the calculated (smaller but slower) version, and the table driven (faster but larger) version.-8051 CRC8 check on the CCITT A-8 CRC calculator. Th e source contains both the calculated (smaller but slower) version, and the table driven (faster but larger) versio n.
Platform: | Size: 2432 | Author: buaalzx | Hits:

[Communication-Mobilecrc_test

Description: 使用CRC-16 ,CCITT多项式的CRC检验生成,检验的原程序,可用于网路通信的信号传输检验用-using CRC-16, CCITT CRC polynomial test generation, the original testing procedures, can be used for network communications signal transmission tests using
Platform: | Size: 40138 | Author: ligao111 | Hits:

[CommunicationCRC16_D8.v

Description: 完成ccitt crc的校验。针对hdlc协议控制器编写的crc校验模块。通过了仿真测试
Platform: | Size: 915 | Author: 张纪强 | Hits:

[VHDL-FPGA-VerilogMYCRC

Description: 由于altera公司的CRC生成和校验模块不支持本系统使用的Cyclone IV E系列FPGA,因此本文独立设计了CRC模块。该模块的接口与altera公司的CRC模块接口基本一致,能够对16位输入的数据流进行CRC校验码生成和校验。本文采用CRC-CCITT生成项,其表达式为:X16+X12+X5+X0。本模块需要startp信号及endp信号指示数据传输的起始及结束。本模块采用状态机设计,对于数据头和数据尾分别由不同的状态来处理。在本模块中,使用了for循环,这会消耗较多的FPGA资源,但暂时任未发现其他改进的方法。-Because altera company' s CRC generation and checking modules do not support the use of the system Cyclone IV E series FPGA, so this independent design of the CRC module. The module' s interface with the CRC module interface altera' s basically the same, capable of 16-bit input data stream of CRC generation and checking. In this paper, CRC-CCITT generation entry, its expression is: X16+ X12+ X5+ X0. This module requires startp signal and endp signal indicating the start and end of data transmission. This module is a state machine design, and data for the end of the first data were handled by different states. In this module, use the for loop, which consumes more FPGA resources, but temporarily did not find any other ways to improve.
Platform: | Size: 4096 | Author: 陈建 | Hits:

[OtherQCRC

Description: qt下CRC-CCITT生成算法,可以选择文件进行计算CRC的值.-the CRC-CCITT algorithm under qt,it can select a file to calculate the CRC value.
Platform: | Size: 3072 | Author: 罗东 | Hits:

[SCMxmodemCRC16.c

Description: 本人根据XMODEM协议的16位CRC校验方式CRC--CCITT(X^16 + X^12 + X^5 + 1),根据其理论思路一步一步编写的源代码,按照程序思路,会比较容易理解CRC16较验方式的来龙去脉。 -I according to the XMODEM protocol 16 of the CRC method CRC- CCITT (X ^ 16+ X ^ 12+ X ^ 5+ 1), according to their theoretical ideas step by step to write the source code, in accordance with program ideas will be easier to understand the CRC16 more experience in the way of the context.
Platform: | Size: 1024 | Author: 海天 | Hits:

[matlabcrc16-CCITT

Description: crc-16的编码,使用的多项式是G(x)=x^16+x^12+x^5+1-generator polynomial of degree 16: G(X)=x^16+x^12+x^5+1
Platform: | Size: 1024 | Author: 李洁 | Hits:

[CSharpcrc_ccitt

Description: Checksum algorithm CRC CCI-Checksum algorithm CRC CCITT
Platform: | Size: 7168 | Author: mtomescu | Hits:

[VHDL-FPGA-VerilogFCS_16

Description: Frame Check Sequence 16 bit Generator (CRC-CCITT and CRC-16)
Platform: | Size: 2048 | Author: hadimk | Hits:

[Othercrc16-ccitt

Description: crc 16 CCITT的c语言实用源码,非常适合单片机,ARM,DSP等嵌入式芯片编程使用-crc 16 CCITT practical c language source, very suitable microcontroller, ARM, DSP and other embedded chip is programmed using
Platform: | Size: 2048 | Author: 赵昱焱 | Hits:

[Crack HackCRC16aCRCCCITT.c

Description: CRC-16和CRC-CCITT的C程序实现,非常适用。-CRC-16 and CRC-CCITT program。
Platform: | Size: 1024 | Author: LWF | Hits:

[OtherCRC16

Description: 16位 CRC-CCITT A001码进行CRC校验小工具-CRC16 CRC-CCITT A001
Platform: | Size: 3072 | Author: 邸兴超 | Hits:

[OS programCRC-ccitt

Description: CRC16计算器 非常 非常 非常 好用-CRC16 Calculator
Platform: | Size: 1092608 | Author: liuhuan | Hits:

[Linux-Unixcrc-ccitt

Description: This source code is licensed under the GNU General Public License, Version 2. See the file COPYING for more details.
Platform: | Size: 2048 | Author: naowouwh | Hits:

[GUI DevelopCRC_CCIT

Description: CRC-CCITT校验码生成工具, 专门生成16位校验数据-CRC-CCITT Tool
Platform: | Size: 31744 | Author: chosion | Hits:

[Editorcrc_ccitt

Description: this module computes the CRC CCITT over the serial input iIN the serial output contains the input stream and at the end the 16 bits of CRC CRC CCITT xMODEM http://www.lammertbies.nl/comm/info/crc-calculation.html#intr - this module computes the CRC CCITT over the serial input iIN the serial output contains the input stream and at the end the 16 bits of CRC CRC CCITT xMODEM http://www.lammertbies.nl/comm/info/crc-calculation.html#intr
Platform: | Size: 1024 | Author: vrd | Hits:

[CA authCCCC3245

Description: 多种CRC计算源码。包括CRC8001, CRC-CCITT, CRC_Scik, CRC_DNP, CRC32-A variety of CRC calculation source. Including CRC8001, CRC-CCITT, CRC_Scik, CRC_DNP, CRC32 and so on
Platform: | Size: 4096 | Author: sdewen | Hits:

[LabViewCRC-pudn

Description: CRC16的9个LabVIEW算法CCITT,CCITT-KERMIT,CCITT-X25,CCITT-XMODEM,DNP,IBM,MAXIM,MODBUS,USB,开发环境LabVIEW2013-9 LabVIEW algorithm of CRC16: CCITT,CCITT-KERMIT,CCITT-X25,CCITT-XMODEM,DNP,IBM,MAXIM,MODBUS,USB The development environment version is LabVIEW 2013
Platform: | Size: 113664 | Author: 刘昕 | Hits:

[USB developCRC5_CRC16_USB

Description: USB2.0数据包CRC 16,TOKEN令牌包是CRC5,VerilogHDL代码 多项式y=1+x^2+x^15+x^16; y=1+x^2+x^5; 只是串行1位的代码, 并行8位,16位没有上传(USB CRC 16 , VerilogHDL code polynomial(0_2_15_16); polynomial(0_2_5))
Platform: | Size: 6144 | Author: 诡迹xjn | Hits:
« 1 2 3 4 5 67 8 »

CodeBus www.codebus.net